=> Building devel/yosys Started : Thursday, 7 MAR 2019 at 05:15:23 UTC Platform: 5.5-DEVELOPMENT DragonFly v5.5.0.192.g15ae7f0-DEVELOPMENT #34: Tue Feb 19 09:07:07 PST 2019 root@pkgbox64.dragonflybsd.org:/usr/obj/usr/src/sys/X86_64_GENERIC x86_64 -------------------------------------------------- -- Environment -------------------------------------------------- UNAME_r=5.5-SYNTH UNAME_m=x86_64 UNAME_p=x86_64 UNAME_v=DragonFly 5.5-SYNTH UNAME_s=DragonFly PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin SSL_NO_VERIFY_PEER=1 TERM=dumb PKG_CACHEDIR=/var/cache/pkg8 PKG_DBDIR=/var/db/pkg8 PORTSDIR=/xports LANG=C HOME=/root USER=root -------------------------------------------------- -- Options -------------------------------------------------- -------------------------------------------------- -- CONFIGURE_ENV -------------------------------------------------- MAKE=gmake PKG_CONFIG=pkgconf PYTHON="/usr/local/bin/python3.6" XDG_DATA_HOME=/construction/devel/yosys XDG_CONFIG_HOME=/construction/devel/yosys HOME=/construction/devel/yosys TMPDIR="/tmp" PATH=/construction/devel/yosys/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin SHELL=/bin/sh CONFIG_SHELL=/bin/sh CCVER=gcc80 -------------------------------------------------- -- CONFIGURE_ARGS -------------------------------------------------- -------------------------------------------------- -- MAKE_ENV -------------------------------------------------- XDG_DATA_HOME=/construction/devel/yosys XDG_CONFIG_HOME=/construction/devel/yosys HOME=/construction/devel/yosys TMPDIR="/tmp" PATH=/construction/devel/yosys/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin NO_PIE=yes MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES CCVER=gcc80 PREFIX=/usr/local LOCALBASE=/usr/local NOPROFILE=1 CC="cc" CFLAGS="-pipe -O2 -fno-strict-aliasing " CPP="cpp" CPPFLAGS="-I/usr/local/include" LDFLAGS=" -L/usr/local/lib " LIBS="" CXX="c++" CXXFLAGS=" -pipe -O2 -fno-strict-aliasing " MANPREFIX="/usr/local" BSD_INSTALL_PROGRAM="install -s -m 555" BSD_INSTALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" -------------------------------------------------- -- MAKE_ARGS -------------------------------------------------- ABCEXTERNAL=abc DESTDIR=/construction/devel/yosys/stage -------------------------------------------------- -- PLIST_SUB -------------------------------------------------- PYTHON_INCLUDEDIR=include/python3.6m PYTHON_LIBDIR=lib/python3.6 PYTHON_PLATFORM=dragonfly5 PYTHON_PYOEXTENSION=opt-1.pyc PYTHON_SITELIBDIR=lib/python3.6/site-packages PYTHON_SUFFIX=36 PYTHON_VER=3.6 PYTHON_VERSION=python3.6 PYTHON2="@comment " PYTHON3="" OSREL=5.5 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib PROFILE="@comment " DOCSDIR="share/doc/yosys" EXAMPLESDIR="share/examples/yosys" DATADIR="share/yosys" WWWDIR="www/yosys" ETCDIR="etc/yosys" -------------------------------------------------- -- SUB_LIST -------------------------------------------------- PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/yosys DOCSDIR=/usr/local/share/doc/yosys EXAMPLESDIR=/usr/local/share/examples/yosys WWWDIR=/usr/local/www/yosys ETCDIR=/usr/local/etc/yosys -------------------------------------------------- -- /etc/make.conf -------------------------------------------------- SYNTHPROFILE=Release-BE USE_PACKAGE_DEPENDS_ONLY=yes PACKAGE_BUILDING=yes BATCH=yes PKG_CREATE_VERBOSE=yes PORTSDIR=/xports DISTDIR=/distfiles WRKDIRPREFIX=/construction PORT_DBDIR=/options PACKAGES=/packages MAKE_JOBS_NUMBER_LIMIT=5 LICENSES_ACCEPTED= NONE HAVE_COMPAT_IA32_KERN= CONFIGURE_MAX_CMD_LEN=262144 _PERL5_FROM_BIN=5.26.2 _ALTCCVERSION_921dbbb2=none _OBJC_ALTCCVERSION_921dbbb2=none _SMP_CPUS=8 UID=0 ARCH=x86_64 OPSYS=DragonFly DFLYVERSION=500500 OSVERSION=9999999 OSREL=5.5 _OSRELEASE=5.5-SYNTH PYTHONBASE=/usr/local _PKG_CHECKED=1 -------------------------------------------------------------------------------- -- Phase: check-sanity -------------------------------------------------------------------------------- ===> License ISCL accepted by the user -------------------------------------------------------------------------------- -- Phase: pkg-depends -------------------------------------------------------------------------------- ===> yosys-0.8_1 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-1.10.5_5.txz Installing pkg-1.10.5_5... Extracting pkg-1.10.5_5: .......... done ===> yosys-0.8_1 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of yosys-0.8_1 -------------------------------------------------------------------------------- -- Phase: fetch-depends -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Phase: fetch -------------------------------------------------------------------------------- ===> License ISCL accepted by the user ===> Fetching all distfiles required by yosys-0.8_1 for building -------------------------------------------------------------------------------- -- Phase: checksum -------------------------------------------------------------------------------- ===> License ISCL accepted by the user ===> Fetching all distfiles required by yosys-0.8_1 for building => SHA256 Checksum OK for YosysHQ-yosys-yosys-0.8_GH0.tar.gz. -------------------------------------------------------------------------------- -- Phase: extract-depends -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Phase: extract -------------------------------------------------------------------------------- ===> License ISCL accepted by the user ===> Fetching all distfiles required by yosys-0.8_1 for building ===> Extracting for yosys-0.8_1 ===> License ISCL accepted by the user ===> Fetching all distfiles required by yosys-0.8_1 for building => SHA256 Checksum OK for YosysHQ-yosys-yosys-0.8_GH0.tar.gz. -------------------------------------------------------------------------------- -- Phase: patch-depends -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Phase: patch -------------------------------------------------------------------------------- ===> Patching for yosys-0.8_1 ===> Applying dragonfly patches for yosys-0.8_1 /usr/bin/sed -i.bak -e '/^CXX =/d; s/^LD = .*/LD = $(CXX)/' -e '/^CONFIG/s/clang/gcc/' /construction/devel/yosys/yosys-yosys-0.8/Makefile /usr/bin/sed -i.bak -e 's|FreeBSD|DragonFly|' /construction/devel/yosys/yosys-yosys-0.8/libs/minisat/System.cc /construction/devel/yosys/yosys-yosys-0.8/kernel/yosys.cc /construction/devel/yosys/yosys-yosys-0.8/kernel/log.cc /construction/devel/yosys/yosys-yosys-0.8/kernel/log.h /construction/devel/yosys/yosys-yosys-0.8/kernel/driver.cc /construction/devel/yosys/yosys-yosys-0.8/passes/cmds/cover.cc /construction/devel/yosys/yosys-yosys-0.8/Makefile -------------------------------------------------------------------------------- -- Phase: build-depends -------------------------------------------------------------------------------- ===> yosys-0.8_1 depends on executable: abc - not found ===> Installing existing package /packages/All/abc-g20180420.txz Installing abc-g20180420... `-- Installing readline-7.0.5... | `-- Installing indexinfo-0.3.1... | `-- Extracting indexinfo-0.3.1: .... done | `-- Installing ncurses-6.1.20190112... | `-- Extracting ncurses-6.1.20190112: .......... done `-- Extracting readline-7.0.5: .......... done Extracting abc-g20180420: ....... done ===> yosys-0.8_1 depends on executable: abc - found ===> Returning to build of yosys-0.8_1 ===> yosys-0.8_1 depends on executable: bash - not found ===> Installing existing package /packages/All/bash-5.0.2.txz Installing bash-5.0.2... `-- Installing gettext-runtime-0.19.8.1_2... `-- Extracting gettext-runtime-0.19.8.1_2: .......... done Extracting bash-5.0.2: .......... done ===> yosys-0.8_1 depends on executable: bash - found ===> Returning to build of yosys-0.8_1 ===> yosys-0.8_1 depends on executable: gawk - not found ===> Installing existing package /packages/All/gawk-4.1.4_3.txz Installing gawk-4.1.4_3... `-- Installing libsigsegv-2.12... `-- Extracting libsigsegv-2.12: ........ done Extracting gawk-4.1.4_3: .......... done Message from libsigsegv-2.12: ==== Note that the stackoverflow handling functions of this library need procfs mounted on /proc. ==== ===> yosys-0.8_1 depends on executable: gawk - found ===> Returning to build of yosys-0.8_1 ===> yosys-0.8_1 depends on executable: bison - not found ===> Installing existing package /packages/All/bison-3.3.2,1.txz Installing bison-3.3.2,1... `-- Installing m4-1.4.18_1,1... `-- Extracting m4-1.4.18_1,1: .......... done Extracting bison-3.3.2,1: .......... done ===> yosys-0.8_1 depends on executable: bison - found ===> Returning to build of yosys-0.8_1 ===> yosys-0.8_1 depends on executable: gmake - not found ===> Installing existing package /packages/All/gmake-4.2.1_3.txz Installing gmake-4.2.1_3... Extracting gmake-4.2.1_3: .......... done ===> yosys-0.8_1 depends on executable: gmake - found ===> Returning to build of yosys-0.8_1 ===> yosys-0.8_1 depends on package: pkgconf>=1.3.0_1 - not found ===> Installing existing package /packages/All/pkgconf-1.6.0,1.txz Installing pkgconf-1.6.0,1... Extracting pkgconf-1.6.0,1: .......... done ===> yosys-0.8_1 depends on package: pkgconf>=1.3.0_1 - found ===> Returning to build of yosys-0.8_1 ===> yosys-0.8_1 depends on file: /usr/local/bin/python3.6 - not found ===> Installing existing package /packages/All/python36-3.6.8.txz Installing python36-3.6.8... `-- Installing expat-2.2.6_1... `-- Extracting expat-2.2.6_1: .......... done `-- Installing libffi-3.2.1_3... `-- Extracting libffi-3.2.1_3: .......... done `-- Installing libressl-2.8.3... `-- Extracting libressl-2.8.3: .......... done Extracting python36-3.6.8: .......... done Message from python36-3.6.8: =========================================================================== Note that some standard Python modules are provided as separate ports as they require additional dependencies. They are available as: py36-gdbm databases/py-gdbm@py36 py36-sqlite3 databases/py-sqlite3@py36 py36-tkinter x11-toolkits/py-tkinter@py36 =========================================================================== ===> yosys-0.8_1 depends on file: /usr/local/bin/python3.6 - found ===> Returning to build of yosys-0.8_1 -------------------------------------------------------------------------------- -- Phase: lib-depends -------------------------------------------------------------------------------- ===> yosys-0.8_1 depends on shared library: libffi.so - found (/usr/local/lib/libffi.so) ===> yosys-0.8_1 depends on shared library: libreadline.so.7 - found (/usr/local/lib/libreadline.so.7) ===> yosys-0.8_1 depends on shared library: libtcl86.so - not found ===> Installing existing package /packages/All/tcl86-8.6.9_1.txz Installing tcl86-8.6.9_1... Extracting tcl86-8.6.9_1: .......... done ===> yosys-0.8_1 depends on shared library: libtcl86.so - found (/usr/local/lib/libtcl86.so) ===> Returning to build of yosys-0.8_1 -------------------------------------------------------------------------------- -- Phase: configure -------------------------------------------------------------------------------- ===> Configuring for yosys-0.8_1 -------------------------------------------------------------------------------- -- Phase: build -------------------------------------------------------------------------------- ===> Building for yosys-0.8_1 gmake[2]: Entering directory '/construction/devel/yosys/yosys-yosys-0.8' [ 0%] Building kernel/version_UNKNOWN.cc [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 2%] Building kernel/log.o [ 2%] Building kernel/calc.o [ 3%] Building kernel/yosys.o [ 3%] Building kernel/cellaigs.o [ 4%] Building kernel/celledges.o [ 4%] Building libs/bigint/BigIntegerAlgorithms.o [ 5%] Building libs/bigint/BigInteger.o [ 5%] Building libs/bigint/BigIntegerUtils.o [ 6%] Building libs/bigint/BigUnsigned.o [ 6%] Building libs/bigint/BigUnsignedInABase.o [ 7%] Building libs/sha1/sha1.o [ 7%] Building libs/subcircuit/subcircuit.o [ 8%] Building libs/ezsat/ezsat.o [ 8%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 9%] Building libs/minisat/SimpSolver.o In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': libs/minisat/Vec.h:119:5: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]' libs/minisat/IntMap.h:48:48: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:37: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:28: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here class vec { ^~~ [ 9%] Building libs/minisat/Solver.o In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': libs/minisat/Vec.h:119:5: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]' libs/minisat/IntMap.h:48:48: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:37: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:35: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here class vec { ^~~ [ 10%] Building libs/minisat/System.o [ 10%] Building frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.y:95.1-34: warning: deprecated directive, use '%define api.prefix {frontend_verilog_yy}' [-Wdeprecated] %name-prefix "frontend_verilog_yy" ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ frontends/verilog/verilog_parser.y: warning: fix-its can be applied. Rerun with option '--update'. [-Wother] [ 10%] Building frontends/verilog/verilog_lexer.cc [ 11%] Building frontends/verilog/preproc.o [ 12%] Building frontends/verilog/verilog_frontend.o [ 12%] Building frontends/verilog/const2ast.o [ 13%] Building frontends/ast/ast.o [ 13%] Building frontends/ast/simplify.o [ 14%] Building frontends/ast/genrtlil.o [ 14%] Building frontends/ast/dpicall.o [ 14%] Building frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.y:46.1-38: warning: deprecated directive, use '%define api.prefix {rtlil_frontend_ilang_yy}' [-Wdeprecated] %name-prefix "rtlil_frontend_ilang_yy" ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ frontends/ilang/ilang_parser.y: warning: fix-its can be applied. Rerun with option '--update'. [-Wother] [ 14%] Building frontends/ilang/ilang_lexer.cc [ 16%] Building frontends/ilang/ilang_frontend.o [ 16%] Building frontends/liberty/liberty.o [ 17%] Building frontends/json/jsonparse.o [ 17%] Building frontends/blif/blifparse.o [ 18%] Building frontends/verific/verific.o [ 18%] Building passes/sat/sat.o [ 18%] Building passes/sat/freduce.o frontends/verific/verific.cc:1679:6: warning: 'bool {anonymous}::check_noverific_env()' defined but not used [-Wunused-function] bool check_noverific_env() ^~~~~~~~~~~~~~~~~~~ [ 19%] Building passes/sat/eval.o [ 19%] Building passes/sat/sim.o [ 20%] Building passes/sat/miter.o [ 20%] Building passes/sat/expose.o [ 21%] Building passes/sat/assertpmux.o [ 21%] Building passes/sat/clk2fflogic.o [ 22%] Building passes/sat/async2sync.o [ 22%] Building passes/hierarchy/hierarchy.o [ 23%] Building passes/hierarchy/uniquify.o [ 23%] Building passes/hierarchy/submod.o [ 24%] Building passes/tests/test_autotb.o [ 24%] Building passes/tests/test_cell.o [ 25%] Building passes/tests/test_abcloop.o [ 25%] Building passes/fsm/fsm.o [ 26%] Building passes/fsm/fsm_detect.o [ 26%] Building passes/fsm/fsm_extract.o [ 27%] Building passes/fsm/fsm_opt.o [ 27%] Building passes/fsm/fsm_expand.o [ 27%] Building passes/fsm/fsm_recode.o [ 28%] Building passes/fsm/fsm_info.o [ 28%] Building passes/fsm/fsm_export.o [ 29%] Building passes/fsm/fsm_map.o [ 29%] Building passes/techmap/techmap.inc [ 30%] Building passes/techmap/simplemap.o [ 30%] Building passes/techmap/dfflibmap.o [ 31%] Building passes/techmap/maccmap.o [ 31%] Building passes/techmap/libparse.o [ 32%] Building passes/techmap/abc.o [ 32%] Building passes/techmap/iopadmap.o [ 33%] Building passes/techmap/hilomap.o [ 33%] Building passes/techmap/extract.o [ 34%] Building passes/techmap/extract_fa.o [ 34%] Building passes/techmap/extract_counter.o [ 35%] Building passes/techmap/extract_reduce.o [ 35%] Building passes/techmap/alumacc.o [ 36%] Building passes/techmap/dff2dffe.o [ 36%] Building passes/techmap/dffinit.o [ 36%] Building passes/techmap/pmuxtree.o [ 37%] Building passes/techmap/muxcover.o [ 37%] Building passes/techmap/aigmap.o [ 38%] Building passes/techmap/tribuf.o [ 38%] Building passes/techmap/lut2mux.o [ 39%] Building passes/techmap/nlutmap.o [ 39%] Building passes/techmap/dffsr2dff.o [ 40%] Building passes/techmap/shregmap.o [ 40%] Building passes/techmap/deminout.o [ 41%] Building passes/techmap/insbuf.o [ 41%] Building passes/techmap/attrmvcp.o [ 42%] Building passes/techmap/attrmap.o [ 42%] Building passes/techmap/zinit.o [ 43%] Building passes/techmap/dff2dffs.o [ 43%] Building passes/memory/memory.o [ 44%] Building passes/memory/memory_dff.o [ 44%] Building passes/memory/memory_share.o [ 45%] Building passes/memory/memory_collect.o [ 45%] Building passes/memory/memory_unpack.o [ 45%] Building passes/memory/memory_bram.o [ 46%] Building passes/memory/memory_map.o [ 46%] Building passes/memory/memory_memx.o [ 47%] Building passes/memory/memory_nordff.o [ 47%] Building passes/cmds/add.o [ 48%] Building passes/cmds/delete.o [ 48%] Building passes/cmds/design.o [ 49%] Building passes/cmds/select.o [ 49%] Building passes/cmds/show.o [ 50%] Building passes/cmds/rename.o [ 50%] Building passes/cmds/connect.o [ 51%] Building passes/cmds/scatter.o [ 51%] Building passes/cmds/setundef.o [ 52%] Building passes/cmds/splitnets.o [ 52%] Building passes/cmds/stat.o [ 53%] Building passes/cmds/setattr.o [ 53%] Building passes/cmds/copy.o [ 54%] Building passes/cmds/splice.o [ 54%] Building passes/cmds/scc.o [ 54%] Building passes/cmds/torder.o [ 55%] Building passes/cmds/logcmd.o [ 55%] Building passes/cmds/tee.o [ 56%] Building passes/cmds/write_file.o [ 56%] Building passes/cmds/connwrappers.o [ 57%] Building passes/cmds/cover.o [ 57%] Building passes/cmds/trace.o [ 58%] Building passes/cmds/plugin.o [ 58%] Building passes/cmds/check.o [ 59%] Building passes/cmds/qwp.o [ 59%] Building passes/cmds/edgetypes.o [ 60%] Building passes/cmds/chformal.o [ 60%] Building passes/cmds/chtype.o [ 61%] Building passes/cmds/blackbox.o [ 61%] Building passes/cmds/ltp.o [ 62%] Building passes/opt/opt.o [ 62%] Building passes/opt/opt_merge.o [ 63%] Building passes/opt/opt_muxtree.o [ 63%] Building passes/opt/opt_reduce.o [ 63%] Building passes/opt/opt_rmdff.o [ 64%] Building passes/opt/opt_clean.o [ 64%] Building passes/opt/opt_expr.o [ 65%] Building passes/opt/share.o [ 65%] Building passes/opt/wreduce.o [ 66%] Building passes/opt/opt_demorgan.o [ 66%] Building passes/opt/rmports.o [ 67%] Building passes/proc/proc.o [ 67%] Building passes/proc/proc_clean.o [ 68%] Building passes/proc/proc_rmdead.o [ 68%] Building passes/proc/proc_init.o [ 69%] Building passes/proc/proc_arst.o [ 69%] Building passes/proc/proc_mux.o [ 70%] Building passes/proc/proc_dlatch.o [ 70%] Building passes/proc/proc_dff.o [ 71%] Building passes/equiv/equiv_make.o [ 71%] Building passes/equiv/equiv_miter.o [ 72%] Building passes/equiv/equiv_simple.o [ 72%] Building passes/equiv/equiv_status.o [ 72%] Building passes/equiv/equiv_add.o [ 73%] Building passes/equiv/equiv_remove.o [ 73%] Building passes/equiv/equiv_induct.o [ 74%] Building passes/equiv/equiv_struct.o [ 74%] Building passes/equiv/equiv_purge.o [ 75%] Building passes/equiv/equiv_mark.o [ 75%] Building backends/smt2/smt2.o [ 76%] Building backends/simplec/simplec.o [ 76%] Building backends/blif/blif.o [ 77%] Building backends/json/json.o [ 77%] Building backends/ilang/ilang_backend.o [ 78%] Building backends/btor/btor.o [ 78%] Building backends/edif/edif.o [ 79%] Building backends/firrtl/firrtl.o [ 79%] Building backends/verilog/verilog_backend.o [ 80%] Building backends/intersynth/intersynth.o backends/firrtl/firrtl.cc: In member function 'void {anonymous}::FirrtlWorker::process_instance(Yosys::RTLIL::Cell*, std::vector >&)': backends/firrtl/firrtl.cc:197:18: warning: this statement may fall through [-Wimplicit-fallthrough=] log_warning("Instance port connection %s.%s is INOUT; treating as OUT\n", log_id(cell_type), log_signal(it->second)); ~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ backends/firrtl/firrtl.cc:198:6: note: here case FD_OUT: ^~~~ backends/firrtl/firrtl.cc:203:18: warning: this statement may fall through [-Wimplicit-fallthrough=] log_warning("Instance port connection %s.%s is NODIRECTION; treating as IN\n", log_id(cell_type), log_signal(it->second)); ~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ backends/firrtl/firrtl.cc:205:6: note: here case FD_IN: ^~~~ [ 80%] Building backends/smv/smv.o [ 81%] Building backends/table/table.o [ 81%] Building backends/aiger/aiger.o [ 81%] Building backends/spice/spice.o [ 82%] Building techlibs/intel/synth_intel.o [ 82%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 83%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 83%] Building techlibs/easic/synth_easic.o [ 84%] Building techlibs/gowin/synth_gowin.o [ 84%] Building techlibs/common/synth.o [ 85%] Building techlibs/common/prep.o [ 85%] Building techlibs/xilinx/synth_xilinx.o [ 86%] Building techlibs/ice40/synth_ice40.o [ 86%] Building techlibs/ice40/ice40_ffssr.o [ 87%] Building techlibs/ice40/ice40_ffinit.o [ 87%] Building techlibs/ice40/ice40_opt.o [ 88%] Building techlibs/ecp5/synth_ecp5.o [ 88%] Building techlibs/greenpak4/synth_greenpak4.o [ 89%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 89%] Building techlibs/achronix/synth_achronix.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/backends/ilang/ilang_backend.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams.txt [ 99%] Building share/intel/common/brams_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/a10gx/cells_sim.v [ 99%] Building share/intel/cyclonev/cells_sim.v [ 99%] Building share/intel/cyclone10/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/a10gx/cells_map.v [ 99%] Building share/intel/cyclonev/cells_map.v [ 99%] Building share/intel/cyclone10/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/cells.lib [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/brams.txt [ 99%] Building share/xilinx/brams_map.v [ 99%] Building share/xilinx/brams_bb.v [ 99%] Building share/xilinx/drams.txt [ 99%] Building share/xilinx/drams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/lut2lut.v [ 99%] Building techlibs/xilinx/brams_init.mk [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building techlibs/ice40/brams_init.mk [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/drams_map.v [ 99%] Building share/ecp5/dram.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building kernel/version_UNKNOWN.o [ 99%] Building kernel/register.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.o [ 99%] Building frontends/ilang/ilang_parser.tab.o [ 99%] Building frontends/ilang/ilang_lexer.o [ 99%] Building passes/techmap/techmap.o [ 99%] Building yosys-filterlib [ 99%] Building share/xilinx/brams_init_36.vh [ 99%] Building share/xilinx/brams_init_32.vh [ 99%] Building share/xilinx/brams_init_18.vh [ 99%] Building share/xilinx/brams_init_16.vh [ 99%] Building share/ice40/brams_init1.vh [ 99%] Building share/ice40/brams_init2.vh [ 99%] Building share/ice40/brams_init3.vh [100%] Building yosys Build successful. gmake[2]: Leaving directory '/construction/devel/yosys/yosys-yosys-0.8' -------------------------------------------------------------------------------- -- Phase: run-depends -------------------------------------------------------------------------------- ===> yosys-0.8_1 depends on file: /usr/local/bin/python3.6 - found -------------------------------------------------------------------------------- -- Phase: stage -------------------------------------------------------------------------------- ===> Staging for yosys-0.8_1 ===> Generating temporary packing list gmake[2]: Entering directory '/construction/devel/yosys/yosys-yosys-0.8' mkdir -p /construction/devel/yosys/stage/usr/local/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc /construction/devel/yosys/stage/usr/local/bin strip -S /construction/devel/yosys/stage/usr/local/bin/yosys strip /construction/devel/yosys/stage/usr/local/bin/yosys-filterlib mkdir -p /construction/devel/yosys/stage/usr/local/share/yosys cp -r share/. /construction/devel/yosys/stage/usr/local/share/yosys/. gmake[2]: Leaving directory '/construction/devel/yosys/yosys-yosys-0.8' /usr/bin/strip /construction/devel/yosys/stage/usr/local/bin/yosys ====> Compressing man pages (compress-man) -------------------------------------------------------------------------------- -- Phase: package -------------------------------------------------------------------------------- ===> Building package for yosys-0.8_1 file sizes/checksums [94]: . done packing files [94]: . done packing directories [0]: . done -------------------------------------------------- -- Termination -------------------------------------------------- Finished: Thursday, 7 MAR 2019 at 05:26:23 UTC Duration: 00:10:59