=> Building cad/ghdl Started : Friday, 20 JUL 2018 at 23:42:23 UTC Platform: 5.3-DEVELOPMENT DragonFly v5.3.0.242.g757c0-DEVELOPMENT #30: Tue May 8 14:06:27 PDT 2018 root@pkgbox64.dragonflybsd.org:/usr/obj/usr/src/sys/X86_64_GENERIC x86_64 -------------------------------------------------- -- Environment -------------------------------------------------- UNAME_r=5.2-SYNTH UNAME_m=x86_64 UNAME_p=x86_64 UNAME_v=DragonFly 5.2-SYNTH UNAME_s=DragonFly PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin SSL_NO_VERIFY_PEER=1 TERM=dumb PKG_CACHEDIR=/var/cache/pkg8 PKG_DBDIR=/var/db/pkg8 PORTSDIR=/xports LANG=C HOME=/root USER=root -------------------------------------------------- -- Options -------------------------------------------------- ===> The following configuration options are available for ghdl-0.33_3: ====> Options available for the single BACKEND: you have to select exactly one of them GCC=off: Code generator is GCC (mature) LLVM=on: Code generator is LLVM (experimental) ===> Use 'make config' to modify these settings -------------------------------------------------- -- CONFIGURE_ENV -------------------------------------------------- PATH=/usr/local/gcc6-aux/bin:/construction/cad/ghdl/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin ADA_PROJECT_PATH=/usr/local/lib/gnat MAKE=gmake XDG_DATA_HOME=/construction/cad/ghdl XDG_CONFIG_HOME=/construction/cad/ghdl HOME=/construction/cad/ghdl TMPDIR="/tmp" SHELL=/bin/sh CONFIG_SHELL=/bin/sh CCVER=gcc50 CMAKE_PREFIX_PATH="/usr/local" CONFIG_SITE=/xports/Templates/config.site lt_cv_sys_max_cmd_len=262144 -------------------------------------------------- -- CONFIGURE_ARGS -------------------------------------------------- --prefix=/usr/local ${_LATE_CONFIGURE_ARGS} -------------------------------------------------- -- MAKE_ENV -------------------------------------------------- PATH=/usr/local/gcc6-aux/bin:/construction/cad/ghdl/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin ADA_PROJECT_PATH=/usr/local/lib/gnat XDG_DATA_HOME=/construction/cad/ghdl XDG_CONFIG_HOME=/construction/cad/ghdl HOME=/construction/cad/ghdl TMPDIR="/tmp" NO_PIE=yes MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES CCVER=gcc50 PREFIX=/usr/local LOCALBASE=/usr/local NOPROFILE=1 CC="ada" CFLAGS="-pipe -I/usr/local/include -I/usr/local/include/ncurses -O2 -isystem /usr/local/include -fno-strict-aliasing" CPP="cpp" CPPFLAGS="-isystem /usr/local/include" LDFLAGS=" -L/usr/local/lib -Wl,-rpath=/usr/local/lib" LIBS="-L/usr/local/lib" CXX="c++" CXXFLAGS=" -pipe -I/usr/local/include -I/usr/local/include/ncurses -O2 -isystem /usr/local/include -fno-strict-aliasing -isystem /usr/local/include" MANPREFIX="/usr/local" BSD_INSTALL_PROGRAM="install -s -m 555" BSD_INSTALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" -------------------------------------------------- -- MAKE_ARGS -------------------------------------------------- DESTDIR=/construction/cad/ghdl/stage -------------------------------------------------- -- PLIST_SUB -------------------------------------------------- DRAGONFLY="" FREEBSD="@comment " PORTDOCS="" PORTEXAMPLES="" X86_64="" GLIB=ghdl/lib/ghdl/ OSREL=5.2 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib PROFILE="@comment " DOCSDIR="share/doc/ghdl" EXAMPLESDIR="share/examples/ghdl" DATADIR="share/ghdl" WWWDIR="www/ghdl" ETCDIR="etc/ghdl" -------------------------------------------------- -- SUB_LIST -------------------------------------------------- PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/ghdl DOCSDIR=/usr/local/share/doc/ghdl EXAMPLESDIR=/usr/local/share/examples/ghdl WWWDIR=/usr/local/www/ghdl ETCDIR=/usr/local/etc/ghdl -------------------------------------------------- -- /etc/make.conf -------------------------------------------------- SYNTHPROFILE=Release-5.2 USE_PACKAGE_DEPENDS_ONLY=yes PACKAGE_BUILDING=yes BATCH=yes PKG_CREATE_VERBOSE=yes PORTSDIR=/xports DISTDIR=/distfiles WRKDIRPREFIX=/construction PORT_DBDIR=/options PACKAGES=/packages MAKE_JOBS_NUMBER_LIMIT=5 LICENSES_ACCEPTED= NONE HAVE_COMPAT_IA32_KERN= CONFIGURE_MAX_CMD_LEN=262144 _PERL5_FROM_BIN=5.26.1 _ALTCCVERSION_921dbbb2=none _OBJC_ALTCCVERSION_921dbbb2=none _SMP_CPUS=8 UID=0 ARCH=x86_64 OPSYS=DragonFly DFLYVERSION=500200 OSVERSION=9999999 OSREL=5.2 _OSRELEASE=5.2-SYNTH PYTHONBASE=/usr/local _PKG_CHECKED=1 -------------------------------------------------------------------------------- -- Phase: check-sanity -------------------------------------------------------------------------------- ===> NOTICE: The ghdl port currently does not have a maintainer. As a result, it is more likely to have unresolved issues, not be up-to-date, or even be removed in the future. To volunteer to maintain this port, please create an issue at: https://bugs.freebsd.org/bugzilla More information about port maintainership is available at: https://www.freebsd.org/doc/en/articles/contributing/ports-contributing.html#maintain-port ===> License GPLv2 accepted by the user -------------------------------------------------------------------------------- -- Phase: pkg-depends -------------------------------------------------------------------------------- ===> ghdl-0.33_3 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-1.10.5_1.txz Installing pkg-1.10.5_1... Extracting pkg-1.10.5_1: .......... done ===> ghdl-0.33_3 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of ghdl-0.33_3 -------------------------------------------------------------------------------- -- Phase: fetch-depends -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Phase: fetch -------------------------------------------------------------------------------- ===> NOTICE: The ghdl port currently does not have a maintainer. As a result, it is more likely to have unresolved issues, not be up-to-date, or even be removed in the future. To volunteer to maintain this port, please create an issue at: https://bugs.freebsd.org/bugzilla More information about port maintainership is available at: https://www.freebsd.org/doc/en/articles/contributing/ports-contributing.html#maintain-port ===> License GPLv2 accepted by the user ===> Fetching all distfiles required by ghdl-0.33_3 for building -------------------------------------------------------------------------------- -- Phase: checksum -------------------------------------------------------------------------------- ===> NOTICE: The ghdl port currently does not have a maintainer. As a result, it is more likely to have unresolved issues, not be up-to-date, or even be removed in the future. To volunteer to maintain this port, please create an issue at: https://bugs.freebsd.org/bugzilla More information about port maintainership is available at: https://www.freebsd.org/doc/en/articles/contributing/ports-contributing.html#maintain-port ===> License GPLv2 accepted by the user ===> Fetching all distfiles required by ghdl-0.33_3 for building => SHA256 Checksum OK for tgingold-ghdl-v0.33_GH0.tar.gz. => SHA256 Checksum OK for tgingold-ghdl-v0.33_GH0.tar.gz. -------------------------------------------------------------------------------- -- Phase: extract-depends -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Phase: extract -------------------------------------------------------------------------------- ===> NOTICE: The ghdl port currently does not have a maintainer. As a result, it is more likely to have unresolved issues, not be up-to-date, or even be removed in the future. To volunteer to maintain this port, please create an issue at: https://bugs.freebsd.org/bugzilla More information about port maintainership is available at: https://www.freebsd.org/doc/en/articles/contributing/ports-contributing.html#maintain-port ===> License GPLv2 accepted by the user ===> Fetching all distfiles required by ghdl-0.33_3 for building ===> Extracting for ghdl-0.33_3 => SHA256 Checksum OK for tgingold-ghdl-v0.33_GH0.tar.gz. => SHA256 Checksum OK for tgingold-ghdl-v0.33_GH0.tar.gz. -------------------------------------------------------------------------------- -- Phase: patch-depends -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Phase: patch -------------------------------------------------------------------------------- ===> Patching for ghdl-0.33_3 ===> Applying ports patches for ghdl-0.33_3 -------------------------------------------------------------------------------- -- Phase: build-depends -------------------------------------------------------------------------------- ===> ghdl-0.33_3 depends on executable: llvm-config35 - not found ===> Installing existing package /packages/All/llvm35-3.5.2_7.txz Installing llvm35-3.5.2_7... `-- Installing libedit-3.1.20170329_2,1... | `-- Installing ncurses-6.0.0s20171223_1... | `-- Extracting ncurses-6.0.0s20171223_1: .......... done `-- Extracting libedit-3.1.20170329_2,1: .......... done `-- Installing perl5-5.26.2... `-- Extracting perl5-5.26.2: .......... done `-- Installing python27-2.7.15... | `-- Installing expat-2.2.5... | `-- Extracting expat-2.2.5: .......... done | `-- Installing gettext-runtime-0.19.8.1_1... | | `-- Installing indexinfo-0.3.1... | | `-- Extracting indexinfo-0.3.1: .... done | `-- Extracting gettext-runtime-0.19.8.1_1: .......... done | `-- Installing libffi-3.2.1_2... | `-- Extracting libffi-3.2.1_2: .......... done | `-- Installing libressl-2.7.4... | `-- Extracting libressl-2.7.4: .......... done | `-- Installing readline-7.0.3_1... | `-- Extracting readline-7.0.3_1: .......... done `-- Extracting python27-2.7.15: .......... done Extracting llvm35-3.5.2_7: .......... done Message from perl5-5.26.2: The /usr/bin/perl symlink has been removed starting with Perl 5.20. For shebangs, you should either use: #!/usr/local/bin/perl or #!/usr/bin/env perl The first one will only work if you have a /usr/local/bin/perl, the second will work as long as perl is in PATH. Message from python27-2.7.15: =========================================================================== Note that some standard Python modules are provided as separate ports as they require additional dependencies. They are available as: bsddb databases/py-bsddb gdbm databases/py-gdbm sqlite3 databases/py-sqlite3 tkinter x11-toolkits/py-tkinter =========================================================================== ===> ghdl-0.33_3 depends on executable: llvm-config35 - found ===> Returning to build of ghdl-0.33_3 ===> ghdl-0.33_3 depends on executable: clang++35 - not found ===> Installing existing package /packages/All/clang35-3.5.2_4.txz Installing clang35-3.5.2_4... Extracting clang35-3.5.2_4: .......... done ===> ghdl-0.33_3 depends on executable: clang++35 - found ===> Returning to build of ghdl-0.33_3 ===> ghdl-0.33_3 depends on file: /usr/local/gcc6-aux/bin/ada - not found ===> Installing existing package /packages/All/gcc6-aux-20180516.txz Installing gcc6-aux-20180516... `-- Installing gmp-6.1.2... `-- Extracting gmp-6.1.2: .......... done `-- Installing mpc-1.1.0_1... | `-- Installing mpfr-4.0.1... | `-- Extracting mpfr-4.0.1: .......... done `-- Extracting mpc-1.1.0_1: ......... done Extracting gcc6-aux-20180516: .......... done ===> ghdl-0.33_3 depends on file: /usr/local/gcc6-aux/bin/ada - found ===> Returning to build of ghdl-0.33_3 ===> ghdl-0.33_3 depends on executable: gmake - not found ===> Installing existing package /packages/All/gmake-4.2.1_2.txz Installing gmake-4.2.1_2... Extracting gmake-4.2.1_2: .......... done ===> ghdl-0.33_3 depends on executable: gmake - found ===> Returning to build of ghdl-0.33_3 ===> ghdl-0.33_3 depends on file: /usr/local/lib/libncurses.so.6 - found -------------------------------------------------------------------------------- -- Phase: lib-depends -------------------------------------------------------------------------------- ===> ghdl-0.33_3 depends on shared library: libgmp.so - found (/usr/local/lib/libgmp.so) ===> ghdl-0.33_3 depends on shared library: libmpfr.so - found (/usr/local/lib/libmpfr.so) ===> ghdl-0.33_3 depends on shared library: libmpc.so - found (/usr/local/lib/libmpc.so) ===> ghdl-0.33_3 depends on shared library: libedit.so.0 - found (/usr/local/lib/libedit.so.0) -------------------------------------------------------------------------------- -- Phase: configure -------------------------------------------------------------------------------- ===> Configuring for ghdl-0.33_3 (cd /construction/cad/ghdl/ghdl-0.33 && /usr/bin/env PATH=/usr/local/gcc6-aux/bin:/construction/cad/ghdl/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin ADA_PROJECT_PATH=/usr/local/lib/gnat MAKE=gmake XDG_DATA_HOME=/construction/cad/ghdl XDG_CONFIG_HOME=/construction/cad/ghdl HOME=/construction/cad/ghdl TMPDIR="/tmp" SHELL=/bin/sh CONFIG_SHELL=/bin/sh CCVER=gcc50 CMAKE_PREFIX_PATH="/usr/local" CONFIG_SITE=/xports/Templates/config.site lt_cv_sys_max_cmd_len=262144 ./configure --with-llvm=/usr/local --prefix=/usr/local/ghdl) Build machine is: x86_64-aux-dragonfly5.2 Creating ghdl.gpr Creating Makefile Generate default_pathes.ads -------------------------------------------------------------------------------- -- Phase: build -------------------------------------------------------------------------------- ===> Building for ghdl-0.33_3 gmake[1]: Entering directory '/construction/cad/ghdl/ghdl-0.33' gmake -f ./src/ortho/llvm/Makefile \ ortho_srcdir=./src/ortho ortho_exec=ghdl1-llvm \ GNAT_FLAGS="-aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata" LDFLAGS="" \ LLVM_CONFIG="/usr/local/bin/llvm-config35" all gnatmake -aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -aI./src/ghdldrv -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata\ ghdl_llvm -bargs -E -largs gcc -c -g -o jumps.o src/grt/config/jumps.c gcc -c -g -o times.o src/grt/config/times.c gcc -c -g -o grt-cbinding.o src/grt/grt-cbinding.c ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ghdldrv/ghdl_llvm.adb gmake[2]: Entering directory '/construction/cad/ghdl/ghdl-0.33' clang++35 -c -I`/usr/local/bin/llvm-config35 --includedir --cflags --cxxflags` -o llvm-cbindings.o src/ortho/llvm/llvm-cbindings.cpp gcc -c -g -o grt-cvpi.o src/grt/grt-cvpi.c gcc -c -g -o fstapi.o -I./src/grt/fst src/grt/fst/fstapi.c gcc -c -g -o lz4.o src/grt/fst/lz4.c gcc -c -g -o fastlz.o src/grt/fst/fastlz.c ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ghdldrv/ghdldrv.adb gnatmake -c -aI./src/grt -gnatec./src/grt/grt.adc -gnat05 \ ghdl_main -cargs -g ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/ghdl_main.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-errors.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-main.adb gcc -c -g -gnatec./src/grt/grt.adc -gnat05 -o main.o src/grt/main.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-options.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-rtis_binding.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-std_logic_1164.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-types.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-astdio.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-hooks.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ghdldrv/ghdllocal.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-stdio.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-change_generics.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-disp.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-disp_signals.adb gnatmake -o ghdl1-llvm -aI./src/ortho/llvm -aI./src/ortho \ -aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o --LINK=clang++35 \ `/usr/local/bin/llvm-config35 --ldflags --libs --system-libs` -L/usr/local/lib ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/ortho_code_main.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm-analysis.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-files.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm-bitwriter.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm-core.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm-executionengine.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm-target.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-images.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm-targetmachine.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm-transforms.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/llvm-transforms-scalar.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-lib.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/ortho_front.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-modules.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-names.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-processes.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/ortho_llvm.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-shadow_ieee.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-signals.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ghdldrv/ghdlmain.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/back_end.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/bug.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/canon.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ghdldrv/ghdlprint.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/disp_vhdl.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/configuration.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-stats.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-values.adb ada -c -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata default_pathes.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-rtis.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/errorout.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-c.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-avhpi.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/errorout.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-avhpi_utils.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-rtis_addr.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/files_map.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-rtis_utils.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-vstrings.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-table.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-disp_rti.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/flags.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-disp_tree.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/iirs.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-fst.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-vcd.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-vcdz.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-vital_annotate.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-vpi.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/iirs.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-waves.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-threads.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-stack2.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-rtis_types.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-fst_api.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-zlib.ads ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-sdf.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-avls.adb ada -c -I./ -I./src/grt -gnatec./src/grt/grt.adc -gnat05 -g -I- /construction/cad/ghdl/ghdl-0.33/src/grt/grt-unithread.adb gnatbind -Lgrt_ -o run-bind.adb -n ghdl_main.ali gcc -c -g -gnatec./src/grt/grt.adc -gnat05 -o run-bind.o run-bind.adb sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < run-bind.adb > grt-files sed -e "\!^./!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt-files > grt-files.in echo "@/libgrt.a" > grt.lst for i in -lm -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> grt.lst; done cat grt-files.in >> grt.lst ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/libraries.adb rm -f -f libgrt.a ar rcv libgrt.a `sed -e "/^-/d" < grt-files` jumps.o times.o grt-cbinding.o grt-cvpi.o fstapi.o lz4.o fastlz.o \ run-bind.o main.o a - ./grt.o a - ./grt-c.o a - ./grt-stdio.o a - ./grt-types.o a - ./grt-astdio.o a - ./grt-hooks.o a - ./grt-shadow_ieee.o a - ./grt-rtis.o a - ./grt-options.o a - ./grt-lib.o a - ./grt-errors.o a - ./grt-rtis_binding.o a - ./grt-avls.o a - ./grt-fst_api.o a - ./grt-rtis_addr.o a - ./grt-avhpi_utils.o a - ./grt-disp_tree.o a - ./grt-rtis_types.o a - ./grt-stack2.o a - ./grt-std_logic_1164.o a - ./ghdl_main.o a - ./grt-table.o a - ./grt-files.o a - ./grt-disp.o a - ./grt-stats.o a - ./grt-unithread.o a - ./grt-threads.o a - ./grt-signals.o a - ./grt-main.o a - ./grt-change_generics.o a - ./grt-vital_annotate.o a - ./grt-sdf.o a - ./grt-vpi.o a - ./grt-vstrings.o a - ./grt-vcd.o a - ./grt-fst.o a - ./grt-rtis_utils.o a - ./grt-values.o a - ./grt-processes.o a - ./grt-disp_signals.o a - ./grt-disp_rti.o a - ./grt-avhpi.o a - ./grt-names.o a - ./grt-images.o a - ./grt-waves.o a - ./grt-modules.o a - ./grt-zlib.o a - ./grt-vcdz.o a - jumps.o a - times.o a - grt-cbinding.o a - grt-cvpi.o a - fstapi.o a - lz4.o a - fastlz.o a - run-bind.o a - main.o ranlib libgrt.a ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/libraries.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/name_table.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/name_table.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/options.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/options.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/std_package.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/tables.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/types.ads ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/version.ads ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/disp_tree.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/iirs_utils.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/post_sems.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans_be.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/translation.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/scanner.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/ortho_ident.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/iir_chains.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-build.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/std_names.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/parse.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-nodes.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-rewrites.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-nfas.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-prints.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/str_table.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/tokens.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/lists.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/nodes.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/nodes_meta.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/xrefs.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/nodes_meta.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-dump_tree.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_decls.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_inst.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/ieee.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/ieee-std_logic_1164.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/ieee-std_logic_1164.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_assocs.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/ieee-vital_timing.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_specs.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_expr.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_expr.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_names.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_names.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_scopes.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_stmts.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_stmts.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/ortho/llvm/ortho_nodes.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/parse_psl.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/psl-errors.ads ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-hash.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-nodes_meta.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/iir_chain_handling.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/evaluation.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap1.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap12.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_types.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-cse.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-disp_nfas.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-nfas-utils.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap2.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-optimize.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-qm.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-priorities.ads ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/sem_psl.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap4.adb ada -c -I./ -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/psl/psl-subsets.adb gnatbind -aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -aI./src/ghdldrv -aO. -E -x ghdl_llvm.ali gnatlink ghdl_llvm.ali -g ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap7.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-helpers2.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-rtis.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans_decls.ads ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap3.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap5.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap6.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap9.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap8.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-chap14.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans-foreach_non_composite.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/canon_psl.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/translate/trans_analyzes.adb ada -c -I./ -I./src/ortho/llvm -I./src/ortho -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata -I- /construction/cad/ghdl/ghdl-0.33/src/vhdl/iirs_walk.adb gnatbind -aI./src/ortho/llvm -aI./src/ortho -aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -aO. -E -x ortho_code_main.ali gnatlink ortho_code_main.ali -o ghdl1-llvm -g llvm-cbindings.o --LINK=clang++35 -L/usr/local/llvm35/lib -lLLVMLTO -lLLVMObjCARCOpts -lLLVMLinker -lLLVMipo -lLLVMVectorize -lLLVMBitWriter -lLLVMIRReader -lLLVMAsmParser -lLLVMSystemZDisassembler -lLLVMSystemZCodeGen -lLLVMSystemZAsmParser -lLLVMSystemZDesc -lLLVMSystemZInfo -lLLVMSystemZAsmPrinter -lLLVMHexagonCodeGen -lLLVMHexagonAsmPrinter -lLLVMHexagonDesc -lLLVMHexagonInfo -lLLVMNVPTXCodeGen -lLLVMNVPTXDesc -lLLVMNVPTXInfo -lLLVMNVPTXAsmPrinter -lLLVMCppBackendCodeGen -lLLVMCppBackendInfo -lLLVMMSP430CodeGen -lLLVMMSP430Desc -lLLVMMSP430Info -lLLVMMSP430AsmPrinter -lLLVMXCoreDisassembler -lLLVMXCoreCodeGen -lLLVMXCoreDesc -lLLVMXCoreInfo -lLLVMXCoreAsmPrinter -lLLVMMipsDisassembler -lLLVMMipsCodeGen -lLLVMMipsAsmParser -lLLVMMipsDesc -lLLVMMipsInfo -lLLVMMipsAsmPrinter -lLLVMAArch64Disassembler -lLLVMAArch64CodeGen -lLLVMAArch64AsmParser -lLLVMAArch64Desc -lLLVMAArch64Info -lLLVMAArch64AsmPrinter -lLLVMAArch64Utils -lLLVMARMDisassembler -lLLVMARMCodeGen -lLLVMARMAsmParser -lLLVMARMDesc -lLLVMARMInfo -lLLVMARMAsmPrinter -lLLVMPowerPCDisassembler -lLLVMPowerPCCodeGen -lLLVMPowerPCAsmParser -lLLVMPowerPCDesc -lLLVMPowerPCInfo -lLLVMPowerPCAsmPrinter -lLLVMSparcDisassembler -lLLVMSparcCodeGen -lLLVMSparcAsmParser -lLLVMSparcDesc -lLLVMSparcInfo -lLLVMSparcAsmPrinter -lLLVMR600CodeGen -lLLVMR600Desc -lLLVMR600Info -lLLVMR600AsmPrinter -lLLVMTableGen -lLLVMDebugInfo -lLLVMOption -lLLVMX86Disassembler -lLLVMX86AsmParser -lLLVMX86CodeGen -lLLVMSelectionDAG -lLLVMAsmPrinter -lLLVMX86Desc -lLLVMX86Info -lLLVMX86AsmPrinter -lLLVMX86Utils -lLLVMJIT -lLLVMLineEditor -lLLVMMCAnalysis -lLLVMMCDisassembler -lLLVMInstrumentation -lLLVMInterpreter -lLLVMCodeGen -lLLVMScalarOpts -lLLVMInstCombine -lLLVMTransformUtils -lLLVMipa -lLLVMAnalysis -lLLVMProfileData -lLLVMMCJIT -lLLVMTarget -lLLVMRuntimeDyld -lLLVMObject -lLLVMMCParser -lLLVMBitReader -lLLVMExecutionEngine -lLLVMMC -lLLVMCore -lLLVMSupport -lz -lpthread -ledit -ltinfo -lm -L/usr/local/lib -L/usr/local/lib clang: warning: argument unused during compilation: '-g' gmake[2]: Leaving directory '/construction/cad/ghdl/ghdl-0.33' gmake GHDL=ghdl_llvm ANALYZE_OPTS="--GHDL1=ghdl1-llvm" vhdl.libs.all gmake[2]: Entering directory '/construction/cad/ghdl/ghdl-0.33' gnatmake -aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -aI./src/ghdldrv -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata\ ghdl_llvm -bargs -E -largs mkdir -p lib/ghdl/v87 mkdir -p lib/ghdl/src/std mkdir -p lib/ghdl/src/ieee mkdir -p lib/ghdl/src/vital95 mkdir -p lib/ghdl/src/synopsys mkdir -p lib/ghdl/v93 sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/std/textio.vhdl > lib/ghdl/src/std/textio.v93 sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/std/textio_body.vhdl > lib/ghdl/src/std/textio_body.v93 sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/std_logic_1164.vhdl > lib/ghdl/src/ieee/std_logic_1164.v93 sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/std_logic_1164_body.vhdl > lib/ghdl/src/ieee/std_logic_1164_body.v93 sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/numeric_bit.vhdl > lib/ghdl/src/ieee/numeric_bit.v93 sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/src/ieee/numeric_bit-body.v93 gnatmake: "ghdl_llvm" up to date. sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/numeric_std.vhdl > lib/ghdl/src/ieee/numeric_std.v93 sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/numeric_std-body.vhdl > lib/ghdl/src/ieee/numeric_std-body.v93 cp libraries/ieee/math_real.vhdl lib/ghdl/src/ieee/math_real.vhdl cp libraries/ieee/math_real-body.vhdl lib/ghdl/src/ieee/math_real-body.vhdl cp libraries/ieee/math_complex.vhdl lib/ghdl/src/ieee/math_complex.vhdl cp libraries/ieee/math_complex-body.vhdl lib/ghdl/src/ieee/math_complex-body.vhdl mkdir -p lib/ghdl/src/vital2000 mkdir -p lib/ghdl/src/mentor mkdir -p lib/ghdl/v08 sed -e '/--V87/s/^/ --/' < libraries/std/textio.vhdl > lib/ghdl/src/std/textio.v08 sed -e '/--V87/s/^/ --/' < libraries/std/textio_body.vhdl > lib/ghdl/src/std/textio_body.v08 cp libraries/std/env.vhdl lib/ghdl/src/std/env.vhdl cp libraries/std/env_body.vhdl lib/ghdl/src/std/env_body.vhdl mkdir -p lib/ghdl/src/ieee2008 sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/std/textio.vhdl > lib/ghdl/src/std/textio.v87 sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/std/textio_body.vhdl > lib/ghdl/src/std/textio_body.v87 sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/std_logic_1164.vhdl > lib/ghdl/src/ieee/std_logic_1164.v87 sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/std_logic_1164_body.vhdl > lib/ghdl/src/ieee/std_logic_1164_body.v87 sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/numeric_bit.vhdl > lib/ghdl/src/ieee/numeric_bit.v87 sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/src/ieee/numeric_bit-body.v87 sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/numeric_std.vhdl > lib/ghdl/src/ieee/numeric_std.v87 sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < libraries/ieee/numeric_std-body.vhdl > lib/ghdl/src/ieee/numeric_std-body.v87 cp libraries/vital95/vital_timing.vhdl lib/ghdl/src/vital95/vital_timing.vhdl cp libraries/vital95/vital_timing_body.vhdl lib/ghdl/src/vital95/vital_timing_body.vhdl cp libraries/vital95/vital_primitives.vhdl lib/ghdl/src/vital95/vital_primitives.vhdl cp libraries/vital95/vital_primitives_body.vhdl lib/ghdl/src/vital95/vital_primitives_body.vhdl cp libraries/synopsys/std_logic_arith.vhdl lib/ghdl/src/synopsys/std_logic_arith.vhdl cp libraries/synopsys/std_logic_textio.vhdl lib/ghdl/src/synopsys/std_logic_textio.vhdl cp libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/src/synopsys/std_logic_unsigned.vhdl cp libraries/synopsys/std_logic_signed.vhdl lib/ghdl/src/synopsys/std_logic_signed.vhdl cp libraries/synopsys/std_logic_misc.vhdl lib/ghdl/src/synopsys/std_logic_misc.vhdl cp libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/src/synopsys/std_logic_misc-body.vhdl rm -f -rf lib/ghdl/v93/std cp libraries/vital2000/timing_p.vhdl lib/ghdl/src/vital2000/timing_p.vhdl cp libraries/vital2000/timing_b.vhdl lib/ghdl/src/vital2000/timing_b.vhdl cp libraries/vital2000/prmtvs_p.vhdl lib/ghdl/src/vital2000/prmtvs_p.vhdl mkdir lib/ghdl/v93/std cp libraries/vital2000/prmtvs_b.vhdl lib/ghdl/src/vital2000/prmtvs_b.vhdl cp libraries/vital2000/memory_p.vhdl lib/ghdl/src/vital2000/memory_p.vhdl cd lib/ghdl/v93/std; \ for i in std/textio.v93 std/textio_body.v93; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done cp libraries/vital2000/memory_b.vhdl lib/ghdl/src/vital2000/memory_b.vhdl cp libraries/mentor/std_logic_arith.vhdl lib/ghdl/src/mentor/std_logic_arith.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 --bootstrap --work=std ../../src/std/textio.v93 cp libraries/mentor/std_logic_arith_body.vhdl lib/ghdl/src/mentor/std_logic_arith_body.vhdl rm -f -rf lib/ghdl/v08/std cp libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/src/ieee2008/std_logic_1164.vhdl mkdir lib/ghdl/v08/std cp libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/src/ieee2008/std_logic_1164-body.vhdl cd lib/ghdl/v08/std; \ for i in std/textio.v08 std/textio_body.v08 std/env.vhdl std/env_body.vhdl; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done cp libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/src/ieee2008/std_logic_textio.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 --bootstrap --work=std ../../src/std/textio.v08 cp libraries/ieee2008/math_real.vhdl lib/ghdl/src/ieee2008/math_real.vhdl cp libraries/ieee2008/math_real-body.vhdl lib/ghdl/src/ieee2008/math_real-body.vhdl cp libraries/ieee2008/math_complex.vhdl lib/ghdl/src/ieee2008/math_complex.vhdl cp libraries/ieee2008/math_complex-body.vhdl lib/ghdl/src/ieee2008/math_complex-body.vhdl cp libraries/ieee2008/numeric_bit.vhdl lib/ghdl/src/ieee2008/numeric_bit.vhdl cp libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/src/ieee2008/numeric_bit-body.vhdl cp libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/src/ieee2008/numeric_bit_unsigned.vhdl cp libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/src/ieee2008/numeric_bit_unsigned-body.vhdl cp libraries/ieee2008/numeric_std.vhdl lib/ghdl/src/ieee2008/numeric_std.vhdl cp libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/src/ieee2008/numeric_std-body.vhdl cp libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/src/ieee2008/numeric_std_unsigned.vhdl cp libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/src/ieee2008/numeric_std_unsigned-body.vhdl cp libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/src/ieee2008/fixed_float_types.vhdl cp libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/src/ieee2008/fixed_generic_pkg.vhdl cp libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/src/ieee2008/fixed_generic_pkg-body.vhdl cp libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/src/ieee2008/fixed_pkg.vhdl cp libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/src/ieee2008/float_generic_pkg.vhdl cp libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/src/ieee2008/float_generic_pkg-body.vhdl cp libraries/ieee2008/float_pkg.vhdl lib/ghdl/src/ieee2008/float_pkg.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 --bootstrap --work=std ../../src/std/textio_body.v93 cp libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/src/ieee2008/ieee_bit_context.vhdl cp libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/src/ieee2008/ieee_std_context.vhdl rm -f -rf lib/ghdl/v87/std mkdir lib/ghdl/v87/std cd lib/ghdl/v87/std; \ for i in std/textio.v87 std/textio_body.v87; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 --bootstrap --work=std ../../src/std/textio.v87 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 --bootstrap --work=std ../../src/std/textio_body.v08 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 --bootstrap --work=std ../../src/std/textio_body.v87 rm -f -rf lib/ghdl/v93/ieee mkdir lib/ghdl/v93/ieee cd lib/ghdl/v93/ieee; \ for i in ieee/std_logic_1164.v93 ieee/std_logic_1164_body.v93 ieee/numeric_bit.v93 ieee/numeric_bit-body.v93 ieee/numeric_std.v93 ieee/numeric_std-body.v93 ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl vital2000/timing_p.vhdl vital2000/timing_b.vhdl vital2000/prmtvs_p.vhdl vital2000/prmtvs_b.vhdl vital2000/memory_p.vhdl vital2000/memory_b.vhdl; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/std_logic_1164.v93 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/std_logic_1164_body.v93 rm -f -rf lib/ghdl/v87/ieee; mkdir lib/ghdl/v87/ieee cd lib/ghdl/v87/ieee; \ for i in ieee/std_logic_1164.v87 ieee/std_logic_1164_body.v87 ieee/numeric_bit.v87 ieee/numeric_bit-body.v87 ieee/numeric_std.v87 ieee/numeric_std-body.v87 vital95/vital_timing.vhdl vital95/vital_timing_body.vhdl vital95/vital_primitives.vhdl vital95/vital_primitives_body.vhdl; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/ieee/std_logic_1164.v87 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/ieee/std_logic_1164_body.v87 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 --bootstrap --work=std ../../src/std/env.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 --bootstrap --work=std ../../src/std/env_body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/numeric_bit.v93 rm -f -rf lib/ghdl/v08/ieee mkdir lib/ghdl/v08/ieee cd lib/ghdl/v08/ieee; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/std_logic_1164.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/numeric_bit-body.v93 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/ieee/numeric_bit.v87 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/ieee/numeric_bit-body.v87 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/ieee/numeric_std.v87 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/std_logic_textio.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/ieee/numeric_std-body.v87 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/math_real.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/math_real-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/math_complex.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/math_complex-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/numeric_bit.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/numeric_std.v93 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/numeric_std-body.v93 ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/math_real.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/math_real-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/math_complex.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/ieee/math_complex-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/numeric_std.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/numeric_std-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/vital2000/timing_p.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/vital95/vital_timing.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/vital95/vital_timing_body.vhdl ../../src/vital95/vital_timing_body.vhdl:120:15:warning: procedure "vitalerror" is never referenced ../../src/vital95/vital_timing_body.vhdl:141:15:warning: procedure "vitalerror" is never referenced ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/vital2000/timing_b.vhdl ../../src/vital2000/timing_b.vhdl:196:15:warning: procedure "vitalerror" is never referenced ../../src/vital2000/timing_b.vhdl:217:15:warning: procedure "vitalerror" is never referenced ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/vital95/vital_primitives.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/vital95/vital_primitives_body.vhdl ../../src/vital95/vital_primitives_body.vhdl:1034:15:warning: function "toedge" is never referenced ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/vital2000/prmtvs_p.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/vital2000/prmtvs_b.vhdl ../../src/vital2000/prmtvs_b.vhdl:1041:15:warning: function "toedge" is never referenced ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/fixed_float_types.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl rm -f -rf lib/ghdl/v87/synopsys mkdir lib/ghdl/v87/synopsys cd lib/ghdl/v87/synopsys; \ cp ../ieee/ieee-obj87.cf . ; \ test x = "xno" || \ for i in ieee/std_logic_1164.vhdl ieee/std_logic_1164_body.vhdl ieee/numeric_bit.vhdl ieee/numeric_bit-body.vhdl ieee/numeric_std.vhdl ieee/numeric_std-body.vhdl lib/ghdl/src/vital95/vital_timing.vhdl lib/ghdl/src/vital95/vital_timing_body.vhdl lib/ghdl/src/vital95/vital_primitives.vhdl lib/ghdl/src/vital95/vital_primitives_body.vhdl; do \ b=`basename $i .vhdl`; \ if [ -f ../ieee/$b.o ]; then \ ln -s ../ieee/$b.o $b.o || exit 1; \ fi; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_textio.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/synopsys/std_logic_arith.vhdl ../../src/synopsys/std_logic_arith.vhdl:391:14:warning: function "left_signed_arg" is never referenced ../../src/synopsys/std_logic_arith.vhdl:400:14:warning: function "left_unsigned_arg" is never referenced ../../src/synopsys/std_logic_arith.vhdl:409:14:warning: function "mult_signed_arg" is never referenced ../../src/synopsys/std_logic_arith.vhdl:418:14:warning: function "mult_unsigned_arg" is never referenced ../../src/synopsys/std_logic_arith.vhdl:1258:14:warning: function "unsigned_return_boolean" is never referenced ../../src/synopsys/std_logic_arith.vhdl:1266:14:warning: function "signed_return_boolean" is never referenced ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/vital2000/memory_p.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/vital2000/memory_b.vhdl ../../src/vital2000/memory_b.vhdl:262:11:warning: procedure "write" is never referenced ../../src/vital2000/memory_b.vhdl:513:11:warning: procedure "printinputname" is never referenced ../../src/vital2000/memory_b.vhdl:4867:11:warning: procedure "printmemorymessage" is never referenced ../../src/vital2000/memory_b.vhdl:4901:11:warning: procedure "printmemorymessage" is never referenced ../../src/vital2000/memory_b.vhdl:5106:11:warning: procedure "writememory" is never referenced ../../src/vital2000/memory_b.vhdl:5179:11:warning: procedure "readmemory" is never referenced ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/synopsys/std_logic_textio.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/synopsys/std_logic_unsigned.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/synopsys/std_logic_signed.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/synopsys/std_logic_misc.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=87 -P../std --work=ieee ../../src/synopsys/std_logic_misc-body.vhdl rm -f -rf lib/ghdl/v93/synopsys rm -f -rf lib/ghdl/v93/mentor mkdir lib/ghdl/v93/mentor mkdir lib/ghdl/v93/synopsys cd lib/ghdl/v93/mentor; \ cp ../ieee/ieee-obj93.cf . ;\ test x = "xno" || \ for i in ieee/std_logic_1164.vhdl ieee/std_logic_1164_body.vhdl ieee/numeric_bit.vhdl ieee/numeric_bit-body.vhdl ieee/numeric_std.vhdl ieee/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl lib/ghdl/src/vital2000/timing_p.vhdl lib/ghdl/src/vital2000/timing_b.vhdl lib/ghdl/src/vital2000/prmtvs_p.vhdl lib/ghdl/src/vital2000/prmtvs_b.vhdl lib/ghdl/src/vital2000/memory_p.vhdl lib/ghdl/src/vital2000/memory_b.vhdl; do \ b=`basename $i .vhdl`; \ if [ -f ../ieee/$b.o ]; then \ ln -s ../ieee/$b.o $b.o || exit 1; \ fi; \ done ; \ for i in mentor/std_logic_arith.vhdl mentor/std_logic_arith_body.vhdl; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done cd lib/ghdl/v93/synopsys; \ cp ../ieee/ieee-obj93.cf .; \ test x = "xno" || \ for i in ieee/std_logic_1164.vhdl ieee/std_logic_1164_body.vhdl ieee/numeric_bit.vhdl ieee/numeric_bit-body.vhdl ieee/numeric_std.vhdl ieee/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl lib/ghdl/src/vital2000/timing_p.vhdl lib/ghdl/src/vital2000/timing_b.vhdl lib/ghdl/src/vital2000/prmtvs_p.vhdl lib/ghdl/src/vital2000/prmtvs_b.vhdl lib/ghdl/src/vital2000/memory_p.vhdl lib/ghdl/src/vital2000/memory_b.vhdl; do \ b=`basename $i .vhdl`; \ if [ -f ../ieee/$b.o ]; then \ ln -s ../ieee/$b.o $b.o || exit 1; \ fi; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_textio.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl; do \ cmd="../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/synopsys/std_logic_arith.vhdl ../../src/synopsys/std_logic_arith.vhdl:391:14:warning: function "left_signed_arg" is never referenced ../../src/synopsys/std_logic_arith.vhdl:400:14:warning: function "left_unsigned_arg" is never referenced ../../src/synopsys/std_logic_arith.vhdl:409:14:warning: function "mult_signed_arg" is never referenced ../../src/synopsys/std_logic_arith.vhdl:418:14:warning: function "mult_unsigned_arg" is never referenced ../../src/synopsys/std_logic_arith.vhdl:1258:14:warning: function "unsigned_return_boolean" is never referenced ../../src/synopsys/std_logic_arith.vhdl:1266:14:warning: function "signed_return_boolean" is never referenced ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/mentor/std_logic_arith.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/mentor/std_logic_arith_body.vhdl ../../src/mentor/std_logic_arith_body.vhdl:807:17:warning: procedure "copy" is never referenced ../../src/mentor/std_logic_arith_body.vhdl:826:17:warning: procedure "copy" is never referenced ../../src/mentor/std_logic_arith_body.vhdl:845:17:warning: procedure "copy" is never referenced ../../src/mentor/std_logic_arith_body.vhdl:864:17:warning: procedure "copy" is never referenced ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/fixed_pkg.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/synopsys/std_logic_textio.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/synopsys/std_logic_unsigned.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/synopsys/std_logic_signed.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/synopsys/std_logic_misc.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=93 -P../std --work=ieee ../../src/synopsys/std_logic_misc-body.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/float_pkg.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl ../../../../ghdl_llvm -a --GHDL1=ghdl1-llvm -g --std=08 -P../std --work=ieee ../../src/ieee2008/ieee_std_context.vhdl gmake[2]: Leaving directory '/construction/cad/ghdl/ghdl-0.33' gmake GHDL1=./ghdl1-llvm libs.vhdl.standard gmake[2]: Entering directory '/construction/cad/ghdl/ghdl-0.33' gmake -f ./src/ortho/llvm/Makefile \ ortho_srcdir=./src/ortho ortho_exec=ghdl1-llvm \ GNAT_FLAGS="-aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata" LDFLAGS="" \ LLVM_CONFIG="/usr/local/bin/llvm-config35" all gmake[3]: Entering directory '/construction/cad/ghdl/ghdl-0.33' gnatmake -o ghdl1-llvm -aI./src/ortho/llvm -aI./src/ortho \ -aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o --LINK=clang++35 \ `/usr/local/bin/llvm-config35 --ldflags --libs --system-libs` -L/usr/local/lib gnatmake: "ghdl1-llvm" up to date. gmake[3]: Leaving directory '/construction/cad/ghdl/ghdl-0.33' ./ghdl1-llvm --std=93 -quiet -g -c -o lib/ghdl/v93/std/std_standard.o --compile-standard ./ghdl1-llvm --std=87 -quiet -g -c -o lib/ghdl/v87/std/std_standard.o --compile-standard ./ghdl1-llvm --std=08 -quiet -g -c -o lib/ghdl/v08/std/std_standard.o --compile-standard gmake[2]: Leaving directory '/construction/cad/ghdl/ghdl-0.33' gmake[1]: Leaving directory '/construction/cad/ghdl/ghdl-0.33' -------------------------------------------------------------------------------- -- Phase: run-depends -------------------------------------------------------------------------------- ===> ghdl-0.33_3 depends on executable: llc35 - found ===> ghdl-0.33_3 depends on file: /usr/local/lib/libncurses.so.6 - found -------------------------------------------------------------------------------- -- Phase: stage -------------------------------------------------------------------------------- ===> Staging for ghdl-0.33_3 ===> Generating temporary packing list gmake[1]: Entering directory '/construction/cad/ghdl/ghdl-0.33' [ -d /construction/cad/ghdl/stage/usr/local/ghdl ] || mkdir -p /construction/cad/ghdl/stage/usr/local/ghdl [ -d /construction/cad/ghdl/stage/usr/local/ghdl/bin ] || mkdir -p /construction/cad/ghdl/stage/usr/local/ghdl/bin gmake -f ./src/ortho/llvm/Makefile \ ortho_srcdir=./src/ortho ortho_exec=ghdl1-llvm \ GNAT_FLAGS="-aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata" LDFLAGS="" \ LLVM_CONFIG="/usr/local/bin/llvm-config35" all gmake[2]: Entering directory '/construction/cad/ghdl/ghdl-0.33' gnatmake -o ghdl1-llvm -aI./src/ortho/llvm -aI./src/ortho \ -aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o --LINK=clang++35 \ `/usr/local/bin/llvm-config35 --ldflags --libs --system-libs` -L/usr/local/lib gnatmake: "ghdl1-llvm" up to date. gmake[2]: Leaving directory '/construction/cad/ghdl/ghdl-0.33' gnatmake -aI./src -aI./src/vhdl -aI./src/psl -aI./src/vhdl/translate -aI./src/ghdldrv -aI./src/grt -aI./src/ortho -aI./src/ortho/llvm -aI./src/ghdldrv -gnaty3befhkmr -gnatwae -aO. -gnatf -gnat05 -g -gnata\ ghdl_llvm -bargs -E -largs gnatmake: "ghdl_llvm" up to date. install -s -m 555 ghdl_llvm /construction/cad/ghdl/stage/usr/local/ghdl/bin/ghdl install -s -m 555 ghdl1-llvm /construction/cad/ghdl/stage/usr/local/ghdl/bin/ghdl1-llvm for d in src/std src/ieee src/vital95 src/vital2000 src/synopsys src/mentor src/ieee2008 v87/std v87/ieee v87/synopsys v93/std v93/ieee v93/mentor v93/synopsys v08/std v08/ieee v08/std ; do \ mkdir -p /construction/cad/ghdl/stage/usr/local/ghdl/lib/ghdl/$d; \ install -m 0644 -p \ lib/ghdl/$d/* /construction/cad/ghdl/stage/usr/local/ghdl/lib/ghdl/$d; \ done gnatmake -c -aI./src/grt -gnatec./src/grt/grt.adc -gnat05 \ ghdl_main -cargs -g gnatmake: objects up to date. gnatbind -Lgrt_ -o run-bind.adb -n ghdl_main.ali gcc -c -g -gnatec./src/grt/grt.adc -gnat05 -o run-bind.o run-bind.adb sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < run-bind.adb > grt-files rm -f -f libgrt.a ar rcv libgrt.a `sed -e "/^-/d" < grt-files` jumps.o times.o grt-cbinding.o grt-cvpi.o fstapi.o lz4.o fastlz.o \ run-bind.o main.o a - ./grt.o a - ./grt-c.o a - ./grt-stdio.o a - ./grt-types.o a - ./grt-astdio.o a - ./grt-hooks.o a - ./grt-shadow_ieee.o a - ./grt-rtis.o a - ./grt-options.o a - ./grt-lib.o a - ./grt-errors.o a - ./grt-rtis_binding.o a - ./grt-avls.o a - ./grt-fst_api.o a - ./grt-rtis_addr.o a - ./grt-avhpi_utils.o a - ./grt-disp_tree.o a - ./grt-rtis_types.o a - ./grt-stack2.o a - ./grt-std_logic_1164.o a - ./ghdl_main.o a - ./grt-table.o a - ./grt-files.o a - ./grt-disp.o a - ./grt-stats.o a - ./grt-unithread.o a - ./grt-threads.o a - ./grt-signals.o a - ./grt-main.o a - ./grt-change_generics.o a - ./grt-vital_annotate.o a - ./grt-sdf.o a - ./grt-vpi.o a - ./grt-vstrings.o a - ./grt-vcd.o a - ./grt-fst.o a - ./grt-rtis_utils.o a - ./grt-values.o a - ./grt-processes.o a - ./grt-disp_signals.o a - ./grt-disp_rti.o a - ./grt-avhpi.o a - ./grt-names.o a - ./grt-images.o a - ./grt-waves.o a - ./grt-modules.o a - ./grt-zlib.o a - ./grt-vcdz.o a - jumps.o a - times.o a - grt-cbinding.o a - grt-cvpi.o a - fstapi.o a - lz4.o a - fastlz.o a - run-bind.o a - main.o ranlib libgrt.a sed -e "\!^./!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt-files > grt-files.in echo "@/libgrt.a" > grt.lst for i in -lm -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> grt.lst; done cat grt-files.in >> grt.lst install -m 0644 libgrt.a /construction/cad/ghdl/stage/usr/local/ghdl/lib/ghdl/libgrt.a install -m 0644 grt.lst /construction/cad/ghdl/stage/usr/local/ghdl/lib/ghdl/grt.lst install -m 0644 ./src/grt/grt.ver /construction/cad/ghdl/stage/usr/local/ghdl/lib/ghdl/grt.ver gmake[1]: Leaving directory '/construction/cad/ghdl/ghdl-0.33' ====> Compressing man pages (compress-man) -------------------------------------------------------------------------------- -- Phase: package -------------------------------------------------------------------------------- ===> Building package for ghdl-0.33_3 file sizes/checksums [200]: .. done packing files [200]: .. done packing directories [0]: . done -------------------------------------------------- -- Termination -------------------------------------------------- Finished: Friday, 20 JUL 2018 at 23:45:29 UTC Duration: 00:03:06